2010-05-20 12 views

risposta

28

Io uso la modalità Emacs + VHDL che è ottima se non ti dispiace (o hai già scalato) la curva di apprendimento di Emacs.

In alternativa, è possibile provare Sigasi-HDT che è basato su Eclipse e ha più GUI. E alcuni strumenti di refactoring più potenti dal suo aspetto.

9

Forse Xilinx ISE o Altera Quartus.

Una volta ho provato - almeno volevo provare - Altera Quartus, ma presto sono passato a un normale editor e ho preso GHDL per la simulazione.

Ma io non sono un professionista, quindi suppongo stiano utilizzando alcuni dei precedenti due prodotti.

+0

Ho usato Xilinx come parte di un progetto studentesco. Permette varie simulazioni dei tuoi moduli e li carica su FPGA.Se ricordo bene potrebbe esserci una versione gratuita che è possibile controllare. –

+3

Io uso ISE e modelim giornalmente (fpga Ph.D), ma non li considero come IDE completi. Il può compilare/simulare (e sintetizzare per ise) ma non c'è una vera gestione del progetto, refactoring della fonte, segnalazione di errori istantanei, ecc. Sigasi-HDL, introdotto nell'altra risposta, è più quello che stavo cercando. In realtà, volevo sapere se sarebbe stato utile per la comunità avviare un IDE VHDL basato su Eclipse, ma sembra che non sia proprio così :) –

+1

Vuoi dire che non ti piace gestire a mano decine di file di testo? Questo fa parte del divertimento di ISE :-P – samoz

7

Gli ingegneri di grandi aziende di semiconduttori utilizzano emacs/vim per la modifica del codice. L'ambiente di sviluppo integrato non ha molto senso, dal momento che un progetto è qualche migliaio di file HDL presi da diversi punti su una rete * NIX, script di installazione per una dozzina di strumenti diversi e lavori cron per eseguire build frequenti.

Per progetti FPGA più semplici sviluppati su Windows ho visto persone che usano Borland CodeWright, UltraEdit e Crimson Editor.

+8

Ma la modifica del codice può essere MOLTO PIÙ migliorata per VHDL rispetto a ciò che emacs-vhdl o vim possono fare in questo momento. C'è qualcuno che scrive codice Java o C# in un blocco note "environement"? No, il completamento del codice e il refactoring istantaneo, nonché decine di altre possibilità velocizzano enormemente il loro lavoro! La loro non è una cosa del genere per VHDL, e penso che lo sviluppo dell'hardware sia un sacco di volte meno facile dello sviluppo del software. La sintesi di alto livello (Matlab/C++/SystemC to VHDL/Verilog) viene utilizzata in meno dello 0,001% di tutte le aziende di sviluppo hardware ... –

+3

In realtà, molti esperti di software professionali utilizzano vim – Nektarios

2

Dipende dal tipo di progetto che si sta sviluppando. Modelsim fornisce un buon IDE ma l'editor di codice non ha le funzionalità avanzate che siamo abituati a utilizzare. Io uso VIM per scrivere il codice e Modelsim per simulare, eseguire il debug ecc ...

5

La mia migliore scommessa è usare VIM per fare tutto ciò di cui ho bisogno per la formattazione VHDL. VIM ha una funzione estremamente potente per sviluppare plug-in personalizzati e nessuna quantità di IDE hopping ti darà tutte le personalizzazioni di cui avresti mai avuto bisogno.

Cose come nomi segnale < 20 caratteri, procedura lunghezze < 100 linee, senza uso di porte linkage - tutti questi possono essere codificati in VIM con il minimo sforzo. Si potrebbe effettivamente eseguire vcom o ncvhdl da VIM e quindi vedere dove si trovano gli errori.

Detto questo, potresti voler dare un'occhiata a ciò che Sigasi ha da offrire. Se hai bisogno di ulteriore aiuto su questo, fammi sapere - sarebbe divertente codificare un plug-in VIM.

15

Ho usato Sigasi HDT da quando è stato rilasciato a gennaio (credo) e sono molto soddisfatto fino ad ora. In precedenza ho usato Vim per tutti i miei ritocchi (C o VHDL), ma da quando sono passato a Sigasi non ho guardato indietro. Il plugin basato su Eclipse è molto più della semplice evidenziazione della sintassi. Il completamento automatico di componenti ed entità è un vero risparmio di tempo ed evita molti errori. Puoi scaricare una versione di prova gratuita dal loro sito web (http://www.sigasi.com) e ti invito a provarlo con grlib (http://www.gaisler.com) per vedere la reale potenza del plug-in.

3

Sto solo usando Scite, come per la maggior parte degli altri codici.

Alcune cose nella Scite fare il mio VHDL codifica piacevole:

  1. colorazione è facile da configurare. Scaricare un file .properties pronto o modificare la modalità Ada.
  2. Scite ha un plug-in snippet che è molto utile per VHDL. Tutte quelle noiose definizioni di processo e architettura sono generate automaticamente per me con un paio di presse dei tasti.
  3. Scite è facile da personalizzare con script esterni: ho degli script per compilare il file corrente, per correggere i rientri, per elencare tutti i processi e così via, le possibilità sono infinite.
4

Emacs + modalità VHDL + un compilatore/simulatore + controllo sorgente.

modalità Il VHDL è configurato per utilizzare il compilatore per compilare il disegno ed eventualmente eseguire la simulazione.

Emacs può collegarsi con il controllo sorgente per controllare correttamente, diff e tag.

Personalmente, come questo set up, perché l'editor è open source e posso utilizzare ovunque (Mac, Linux, Windows). Il compilatore/simulatore può essere qualsiasi cosa, da un download gratuito (Modelsim o GHDL sponsorizzato dal fornitore FPGA) a un motore di griglia. Una volta impostato, diventa praticamente invisibile.

4

Simplifide ha anche un plugin di Eclipse che supporta VHDL, Verilog e SystemVerilog che contiene tutte le caratteristiche IDE standard e più.

può essere scaricato a http://simplifide.com

+0

Bella scoperta! Grazie ! –

3

Sto usando Notepad++ insieme con gli strumenti del fornitore libero di modificarla mia VHDL su Windows.

+2

Sarebbe perfetto se avesse un'opzione "Abbellisci" (Rientro automatico). – Royi

2

C'è un altro VHDL-IDE, è un plug-in per Visual Studio, ma per quanto ho potuto vedere, è disponibile anche come versione standalone (ovvero, non è necessaria una licenza di Visual Studio). Sembra relativamente nuovo, ma finora mi piace davvero.

http://www.vide-software.at

0

Emacs con la modalità di linguaggio appropriato è il mio voto. L'editing di base è abbastanza facile da imparare e ci sono molti file di configurazione di esempio là fuori. Kevin.

0

Sto usando Xilinx ISE per scopi didattici, funziona davvero bene. E ha tutto. Dopo aver richiesto una licenza per studenti, la versione per studenti è gratuita, ma occupa molto spazio. Il file di installazione da solo è più di 6 GB. Il libro che usiamo per la scuola si riferisce alle proprietà speciali di xilinx. ("Prototipazione FGPA per esempi VHDL"). Sto anche programmando su una testboard nexsys 3 spartan 6, e userò xilinx per un altro anno, ma quando finirò il corso cercherò qualcosa di più piccolo. Felice di leggere sulle alternative leggere.

1

sono passato ad usare Vim perché ha una grande lista di plugin per quasi tutte le lingue (credo Emacs fanno anche). Non si può ottenere il miglior VHDL IDE con esso, ma si ottiene un'interfaccia familiare per ogni codice e non codice che è necessario modificare. Inoltre, mentre ti muovi tra le aziende, puoi portare il tuo ambiente con te.

Per quelli che utilizzano Vim, controllare vim-hdl. È un plugin su cui sto lavorando che fornisce il controllo della sintassi basato su simulatori/compilatori. Sto usando quotidianamente con ModelSim e finora funziona bene.

Problemi correlati