Qual è la differenza tra le attività statiche e quelle automatiche.Qual è la differenza tra attività automatica e attività statica, perché non possiamo passare per riferimento a un'attività statica
program class_ref;
int index,value;
class holding_values;
int ass_array[*];
task assign_value (int value,int index);
ass_array[index] = value;
endtask
function void disp(int index);
$display("%t %M:ASSOSIATIVA VALUE%d ",$time,ass_array[index]);
endfunction
endclass
initial begin
holding_values obc;
index =5;
value =88;
obc = new();
map(obc,value);
obc.disp(index);
end
task map(ref holding_values obc,ref int value);
value +=5;
obc.assign_value(value,index);
obc =null;
endtask
endprogram
se questo codice viene eseguito darà l'errore
argomento riferimento è illegale all'interno dichiarazione compito-funzione statica
se task "mappa" viene fatta automaticamente il programma piste.
Perché è necessario rendere automatico il compito? Qual è la differenza tra compiti statici e automatici?
se l'attività è statica, più invocazioni della stessa attività uccideranno l'attività precedentemente chiamata, quindi non c'è condivisione di variabile tra le attività. @ Tudor –
@AkshayPatil Non penso. Se l'attività è statica, più invocazioni della stessa attività non uccideranno quella precedente. Esempio: http://www.edaplayground.com/x/8VC – AldoT