2015-09-14 14 views
10

Quartus 13.0 installato con Modelsim in Fedora 22 64-bit. Esecuzione di Quartus a 32 bit perché altrimenti ho un sacco di problemi. Tuttavia, posso avviare Quartus, creare un progetto, sintetizzarlo, attivare la finestra di simulazione e configurare i segnali in. Quindi, quando si fa clic sul pulsante per avviare Modelsim, inizia a fare il suo lavoro, ma finisce conAltera Quartus dice falsamente che Modelsim non è installato

ModelSim-Altera non è stato trovato. Si prega di installare ModelSim-Altera che è incluso con il programma di installazione Quartus II, o utilizzare il Quartus II Simulator invece selezionando "Simulation> Opzioni> Quartus II Simulator"

Questo semplicemente non è vero. Posso avviare Modelsim me stesso eseguendo vsim. Qui segue l'output completo. Qualsiasi suggerimento per risolvere questo sarà +1 e nessun suggerimento che avrebbe senso sarà punito da me.

Device family: Cyclone II 
Running quartus eda_testbench 
>> quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog grindar -c grindar {--vector_source=/home/johan/Projects/Studies/vhdl/labs/lab1/and_grind.vwf} {--testbench_file=./simulation/qsim/grindar.vt} 
PID = 20951 
******************************************************************* 
Running Quartus II 32-bit EDA Netlist Writer 
    Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 
    Processing started: Sat Sep 12 20:31:33 2015 
Command: quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog grindar -c grindar --vector_source=/home/johan/Projects/Studies/vhdl/labs/lab1/and_grind.vwf --testbench_file=./simulation/qsim/grindar.vt 
Selected device EP2C35F672C6 for design "grindar" 
Generated Verilog Test Bench File ./simulation/qsim/grindar.vt for simulation 
Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings 
    Peak virtual memory: 318 megabytes 
    Processing ended: Sat Sep 12 20:31:34 2015 
    Elapsed time: 00:00:01 
    Total CPU time (on all processors): 00:00:01 
Running quartus eda_func_netlist 
>> quartus_eda --functional=on --simulation --tool=modelsim_oem --format=verilog grindar -c grindar 
PID = 20953 
******************************************************************* 
Running Quartus II 32-bit EDA Netlist Writer 
    Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 
    Processing started: Sat Sep 12 20:31:36 2015 
Command: quartus_eda --functional=on --simulation=on --tool=modelsim_oem --format=verilog grindar -c grindar 
Selected device EP2C35F672C6 for design "grindar" 
Generated file grindar.vo in folder "/home/johan/Projects/Studies/vhdl/labs/lab1/simulation/modelsim/" for EDA simulation tool 
Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings 
    Peak virtual memory: 318 megabytes 
    Processing ended: Sat Sep 12 20:31:37 2015 
    Elapsed time: 00:00:01 
    Total CPU time (on all processors): 00:00:01 
******************************************************************* 
ModelSim-Altera was not found. Please install ModelSim-Altera which is included with the Quartus II installer, or use the Quartus II Simulator instead by selecting "Simulation > Options > Quartus II Simulator" 

risposta

0

prega di verificare se il percorso al binario Modelsim sia correttamente specificato sotto Strumenti -> Opzioni

enter image description here

Sono in Windows, ma si spera che le impostazioni dovrebbe essere lo stesso sotto Linux

+0

Avrei dovuto dire che ho assicurato il percorso corretto lì. Sfortunatamente non aiuta. Grazie lo stesso! – Johan

+0

@ Johan, sei riuscito a risolvere il problema. Sarebbe utile conoscere la tua esperienza. – Prashant

+0

No. Penso che ci sia un bug in Quartus 13 e Altera non sembra disposto ad affrontarlo. Tuttavia, questo è per il programma universitario VWF che non ho più bisogno di usare. Se si esegue la simulazione completa RTL o grind funziona perfettamente. – Johan

Problemi correlati